Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

Originally published in the “Bulletin of the Chinese Academy of Sciences” 2025, Issue 5, Special Issue “Building a World-Class Science and Technology Power” – Special Topic “Current Status and Future Considerations of High-End Manufacturing”.

Ye Tianchun1* Zhu Yu2 Zhang Guoming3 Du Xiaoli4 Lei Zhenlin5 Yuan Pengpeng1

1 Institute of Microelectronics, Chinese Academy of Sciences

2 Tsinghua University

3 Integrated Circuit Equipment Innovation Alliance

4 Integrated Circuit Design Innovation Alliance

5 Integrated Circuit Components Innovation Alliance

Facing the “14th Five-Year Plan”, China’s semiconductor equipment industry is confronted with dual challenges of technological blockade and supply chain decoupling, necessitating a shift from “catching up and replacing” to “path innovation” to break free from dependence on the international technology system. This article analyzes three core demands: supporting self-reliance (breaking through bottlenecks in advanced process equipment and components), building a Chinese-style innovation ecosystem (exploring new technological paths such as GAA and 3D integration), and promoting intelligent upgrades (integrating AI and digital technologies). It also proposes a strategy of “re-globalization” to counteract de-globalization, reshaping the global semiconductor industry chain through internal circulation and international dual circulation. Currently, domestic equipment has made breakthroughs in mature processes, but high-end fields remain monopolized by the US, Japan, and Europe, facing issues such as low-level repetitive competition and supply chain “bottlenecks”. It is recommended to focus on systematic technological breakthroughs and upstream-downstream collaborative innovation to avoid internal competition, concentrating on asymmetric technological advantages to achieve a leap from self-controllability to self-reliance.

Semiconductors have deeply penetrated all fields of global economic and social development, serving as the cornerstone of industrial upgrading, digital transformation, intelligent applications, and network information infrastructure, and are a strategic high ground fiercely contested by major powers. China’s semiconductor industry has become a force that cannot be ignored globally, facing unprecedented all-round suppression from the West, becoming the focal point of the US-China game. As the foundational support of the semiconductor industry, semiconductor equipment is key to achieving breakthroughs in semiconductor technology and industrial upgrading. In light of the requirements of the “14th Five-Year Plan” and the new international situation, China’s semiconductor equipment needs to tackle challenges in existing tracks while actively pursuing path innovation to support a globally unique semiconductor ecosystem with Chinese characteristics.

1

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

Demand for Semiconductor Equipment During the 14th Five-Year Plan

Semiconductor manufacturing is divided into front-end manufacturing and back-end packaging and testing. Front-end manufacturing equipment mainly includes nine categories: lithography, dry etching, doping, thin films, planarization, thermal treatment, wet processes, measurement and inspection, and factory auxiliary equipment, with a total of about 190 subtypes. Different manufacturing processes require different manufacturing equipment. Generally, each generation of process evolution requires upgrading existing equipment and adding 10%-20% new types of equipment. For example, a 28 nm logic chip production line has about 120 types of equipment, while a 14 nm production line requires an increase to about 140 types of equipment. Semiconductor storage manufacturing and logic manufacturing have significant differences in equipment requirements, particularly in doping and diffusion processes, requiring about 30% different types of equipment. Back-end packaging and testing equipment includes thinning equipment, dicing equipment, testers, and sorters. As chip integration continues to increase and manufacturing processes improve, semiconductor manufacturing processes also demand higher standards for equipment.

For the “14th Five-Year Plan”, the opportunities and demands for semiconductor equipment are mainly reflected in the following three aspects.

Supporting China’s Semiconductor Industry from Self-Control to Self-Reliance

Since 2016, the US governments under Obama, Trump, and Biden have continuously implemented policies to restrict China’s high-tech industry using semiconductors as a weapon, disregarding international relations norms and trade rules. Since 2018, the US has focused on the semiconductor industry chain, continuously introducing restrictive measures, attempting to interrupt China’s innovation and development process in the semiconductor field, slowing down its development speed, and limiting its advancement to high-end sectors.

On October 7, 2022, the US introduced new restrictions targeting the technology systems, industry chain systems, and talent systems involved in high-end chips, attempting to achieve a “high-end lock” on China. On October 17, 2023, the US further announced upgraded rules for semiconductor export controls to China. On December 2, 2024, the US issued a temporary final rule, further tightening export controls on semiconductor manufacturing-related items, focusing on semiconductor equipment companies. Currently, major semiconductor equipment companies have been listed on the US Entity List.

Since 2008, with continuous support from national major science and technology projects, the National Integrated Circuit Industry Investment Fund, and technology breakthrough plans, combined with a series of policy support measures such as the Sci-Tech Innovation Board, China’s semiconductor equipment and components have established basic support capabilities, initially achieving self-control in mature processes. However, weaknesses in advanced process equipment and supporting components require comprehensive, systematic, and long-term breakthroughs to support China’s semiconductor industry in achieving self-reliance.

Supporting the Establishment of a Chinese-Style Innovation Ecosystem in Semiconductors

The global semiconductor technology development route is undergoing unprecedented changes in its 70-plus years of establishment. As device feature sizes approach physical limits, further miniaturization of transistors becomes increasingly difficult and costly, making the traditional method of enhancing performance by reducing transistor size more challenging. To meet the performance evolution requirements of semiconductors, significant efforts are needed to break through semiconductor manufacturing processes and equipment technologies, especially as front-end process equipment will continue to drive the further development of Moore’s Law.

Table 1: Technology Roadmap for Integrated Circuit Logic Devices

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

At the same time, the semiconductor industry is actively exploring new technological paths, such as three-dimensional structures, photonic chips, and quantum computing, in hopes of breaking physical limitations and achieving performance leaps. Advanced packaging technologies such as 2.5D/3D stacked integrated circuits (ICs) and embedded chip packaging have also become important means to enhance integration and computing power, regarded by the industry as the “new Moore’s Law”. These technological innovations not only change the design and manufacturing methods of semiconductor products but also profoundly impact the entire electronic information industry’s landscape. Additionally, fully depleted silicon-on-insulator (FDSOI) technology, compared to existing mainstream FinFETs, offers advantages such as simpler processes, lower manufacturing costs, and high-speed low-power performance, requiring 1-2 generations lower specifications for advanced lithography machines and 20% fewer types of manufacturing equipment. Domestic equipment and materials have relatively complete support capabilities, capable of providing new advanced processes for domestic high-end chip manufacturing.

China should seize this historic opportunity to carry out transformative innovation in the semiconductor field, break free from path dependence, explore new innovative development paths, reshape the semiconductor chip industrial system, and create a new ecosystem, paving a path of independent innovation with Chinese characteristics. In this process, semiconductor equipment will play a crucial supporting and leading role in the development of the “Chinese-style Moore’s Law”.

Meeting the Semiconductor Demand for Networking, Digitalization, and Intelligence

As the foundation of information technology development, semiconductor technology promotes the development of information technology, while information technology also promotes the development of semiconductor technology. In recent years, the rapid development of information technology has accelerated the shift of the semiconductor field towards intelligent manufacturing. Intelligent manufacturing essentially integrates information technologies such as artificial intelligence (AI), digitalization, and networking with traditional manufacturing technologies, enabling dynamic responses to product demands through perception, analysis, reasoning, decision-making, and control across all stages of product design, production, management, and service. Currently, the intelligence level of semiconductor manufacturing equipment is continuously upgrading, gradually evolving towards full-process and full-stage intelligence in semiconductor manufacturing. Industry research organization International Roadmap for Devices and Systems (IRDS) analysis indicates that future semiconductor equipment will follow the technical evolution path planned in Table 2, achieving more comprehensive intelligent capabilities in stages.

Table 2: Technology Roadmap for Semiconductor Equipment Intelligence

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

2

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

Current Status of Semiconductor Equipment Development

Global Status

The global semiconductor equipment market exhibits a highly globalized product division of labor and a highly monopolized competition situation, with over 80% of the market share held by manufacturers from the US, Japan, and Europe (Netherlands). Among them, lithography machines are mainly provided by Dutch and Japanese manufacturers, while dry etching, doping, thin films, planarization, thermal treatment, wet processes, measurement and inspection, and factory auxiliary equipment are mainly provided by US and Japanese manufacturers. CINNO IC Research’s latest global semiconductor equipment industry research report shows that in 2024, the top 10 semiconductor equipment companies will have a combined revenue exceeding $110 billion, with a year-on-year growth of about 10%.

In 2024, among the top 10 global semiconductor equipment manufacturers, ASML from the Netherlands is expected to exceed $30 billion in revenue, ranking first; Applied Materials from the US is expected to have about $25 billion in revenue, ranking second; Lam Research from the US, Tokyo Electron from Japan, and KLA from the US rank third, fourth, and fifth respectively. Northern Huachuang Technology Group Co., Ltd. is the only Chinese semiconductor equipment manufacturer in the top 10, entering the global top 10 for the first time in 2023, rising from 8th to 6th place in 2024.

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

Figure 1: Ranking of Global Semiconductor Equipment Manufacturers by Market Size in 2024

Data Source: CINNO • IC Research

Current Status in China

Before 2008, China had almost no key 12-inch semiconductor equipment, with only a few 8-inch equipment prototypes. Therefore, to build chip production lines domestically, 50% of process equipment and testing equipment needed to be imported from the US, 20% (mainly lithography machines) from Europe, and 30% from Japan and other countries. Over the past decade, major national science and technology projects, key core technology breakthrough projects, and national semiconductor industry funds, along with policies such as the Sci-Tech Innovation Board, have formed an effective development model of “technology leading, industry following, and financial support”, creating a situation where the integration of the industrial chain, innovation chain, and financial chain has driven the rapid development of China’s semiconductor industry, establishing a relatively complete technological innovation system and industrial layout, with five major sectors of semiconductor product design, manufacturing, packaging, equipment, and materials in place, initially possessing systematic self-supply and innovation capabilities for semiconductor equipment.

In product design, domestic technical capabilities have significantly improved, achieving breakthroughs in processors (CPU), field-programmable gate arrays (FPGA), and system-on-chip (SOC) for communication systems.In manufacturing processes, significant progress has been made in technology.In packaging integration, the industry has moved from mid-to-low-end to high-end, with traditional packaging ranking first in the world and advanced packaging reaching internationally advanced levels. Notably, national major science and technology projects have nurtured over 200 key backbone enterprises in integrated circuit manufacturing, packaging, equipment, materials, and components, with nearly 60 listed companies forming the “four pillars” supporting the entire industry. The industry employs over 500,000 people, with nearly 100,000 in core innovation teams. Overall, China’s semiconductor field has formed a technological system, established an industrial chain, and significantly improved its competitive strength, greatly narrowing the gap.

In semiconductor equipment: 12-inch high-end equipment in front-end manufacturing, including etching, chemical vapor deposition, physical vapor deposition, chemical mechanical polishing, ion implantation, oxidation and annealing, and wet processes (cleaning/coating), has completed research and development and entered the market in bulk. Products such as plasma etchers and plasma-enhanced chemical vapor deposition (PECVD) are also being applied in advanced processes of international first-tier customers. Table 3 shows the major companies in China’s semiconductor equipment sector.In terms of market growth, the total sales of domestic semiconductor equipment companies are expected to reach approximately 85.3 billion yuan in 2024, a 50.1-fold increase from 1.7 billion yuan in 2008, greatly improving the domestic production line’s dependence on foreign equipment.

Table 3: Major Companies in China’s Semiconductor Equipment Sector

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

However, it should also be noted that the competitive landscape of the semiconductor equipment market in China is still mainly occupied by manufacturers from the US, Japan, and Europe (Netherlands), especially in high-end fields. Among them, Applied Materials holds a 27.4% market share in the Chinese equipment market, Lam Research holds 14.8%, KLA holds 6.8%, Tokyo Electron from Japan holds 16.2%, and ASML from the Netherlands holds 10.1%, with a total market share exceeding 70%.

3

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

Challenges Facing Semiconductor Equipment

Breaking Free from Path Dependence, Engaging in Path Innovation, and Taking Control of Development

Semiconductors are the most successful practice of the globalized industrial chain division of labor and cooperation model. Over the past 20 years, China’s semiconductor industry has actively integrated into the international cycle, primarily using “catching up” and “replacing” as strategies, gradually strengthening its capabilities while striving to expand its territory, accumulating the foundation and strength it has today. However, this has objectively led to a path dependence on external factors, which is the main reason for China’s current strategic passive position.

It must be acknowledged that this is a development model highly dependent on the international system, essentially “building with others’ plans, using others’ materials, and following others’ methods”. While it brings the benefits of “ease and convenience”, it inevitably leads to a passive situation where competition is constrained and development is limited. In the context of the US and the West forcibly decoupling from China, it is becoming increasingly difficult for China to obtain advanced technologies, high-end talent, and funding from abroad, and the foundation for continuing to use past development strategies is gradually being lost. A new development model must be established to achieve “building with our own blueprints, using reliable materials, and following our own methods”, taking control of development initiative and leadership.

Addressing Higher Requirements for Semiconductor Equipment Due to Technological Evolution

In the evolution along Moore’s Law and the new Moore’s Law, semiconductor equipment faces challenges in both front-end and back-end manufacturing:

In front-end manufacturing, as process nodes continue to shrink, new types of transistors such as GAA are being introduced, posing higher challenges for manufacturing equipment.

1. The ion implantation process needs to shift from traditional doping to conformal doping technology to achieve uniform doping distribution in three-dimensional nanostructures;

2. Thin film deposition and etching processes need to achieve atomic-level precision control, involving advanced process technologies such as atomic layer deposition (ALD) and atomic layer etching (ALE);

3.Other key process equipment, including chemical mechanical polishing (CMP), electrochemical deposition (ECD), and wet processing equipment, need technological upgrades to meet integration requirements for higher precision processing, non-copper interconnect materials, and new high-k metal gate (HKMG) materials.

In back-end manufacturing, to continuously improve packaging efficiency and optimize production costs, wafer-level packaging technology is transitioning from traditional packaging to advanced packaging. For example, rectangular panel-level packaging technology requires adaptive modifications to existing equipment based on circular substrate designs to accommodate the geometric characteristics of rectangular substrates; glass substrate technology offers advantages such as low thermal expansion coefficients and good dielectric and optical properties, suitable for high-performance packaging, but also requires the development of corresponding specialized equipment; in through-silicon via (TSV) technology, equipment-level solutions are needed to address high-density, high aspect ratio etching issues; in ultra-thin wafer processing, solutions are needed to address wafer warping and brittle fracture issues.

Resolving Supply Chain Issues for Upstream Semiconductor Components

Semiconductor equipment is a complex system composed of thousands of precision components, where the performance parameters, quality levels, and processing precision of core components directly determine the reliability and stability of the entire equipment. Semiconductor components mainly include mechanical, electrical, mechatronic, gas transmission systems, pneumatic and hydraulic systems, vacuum systems, instruments, and optical components, with products including RF power supplies, flow meters, mass flow controllers, vacuum pumps, electrostatic chucks, and seals. The domestic semiconductor components industry started relatively late, and although the market scale has been expanding in recent years, the overall technical level still shows a significant gap compared to international standards. Domestic companies currently struggle to meet the stringent requirements of equipment and wafer manufacturers in core technological capabilities, manufacturing process levels, product precision control, and reliability verification. In the context of increasingly complex global macro-political and economic situations, and the US’s continuous suppression of China’s semiconductor industry development, it is urgent to resolve the “bottleneck” issue of semiconductor equipment components.

Addressing the Internal Competition Caused by Low-Level Repetition

Currently, there is varying degrees of internal competition in almost all links of China’s semiconductor industry chain. This is mainly manifested in the evolution of “domestic replacement” into “replacing domestic”, with rapid expansion and severe competition in mid-to-low-end products and capacities, and new entities continuously entering the semiconductor field with poor risk resistance. Taking the equipment industry as an example, in almost every field that has achieved localization, the number of domestic companies exceeds five.

Analyzing the reasons,from external factors, the US restricts China’s advancement to advanced processes below 14 nm, leading companies to shift towards horizontal expansion;from internal factors, the listing dividends and local government support attract massive capital and numerous new enterprises into the semiconductor equipment field, with phenomena such as high salary poaching and homogeneous competition becoming increasingly evident. Some companies rely on their advantages in the industrial chain to seize resources, resulting in significant duplication of already mature domestic products, severely wasting innovative resources.

Low-end internal competition leads to disorderly, low-level, and vicious competition, resulting in structural imbalances on the supply side and resource misallocation, with insufficient motivation for foundational innovation, hindering the advancement of technology and industry towards mid-to-high-end, creating a situation of low-end repetition and difficulty in breaking through mid-to-high-end, damaging the semiconductor innovation ecosystem.

4

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

Next Steps and Recommendations

Semiconductors are characterized by being technology-intensive, talent-intensive, and capital-intensive, with rapid technological iteration and upgrades. Innovation and research and development play a leading role in guiding the direction of industrial development, making it a typical technology-driven industry. Adhering to technological innovation as the leading force for industrial development is the core key to achieving the overall, long-term, and sustainable development of semiconductors.

In light of the “14th Five-Year Plan”, China needs to change its development model primarily based on “catching up” and “replacing”, firmly engage in path innovation, explore new tracks through multiple avenues, and cultivate a new ecosystem through upstream and downstream collaboration, forming a full industrial chain innovation solution supported by product definition, characteristic manufacturing, and supply chains. Promote competition and integration between new and traditional paths, forming new main tracks, building technological high grounds, establishing asymmetric technological advantages and strategic balancing capabilities, and gaining development initiative. Counteract de-globalization with re-globalization, establish internal circulation, guide dual circulation, and reshape the international circulation system of semiconductors. Make good use of international resources, focus on promoting Chinese standards, Chinese technologies, Chinese products, and Chinese applications, encourage international industrial resources to participate in the construction of the internal circulation ecosystem, forming an internal circulation within the international cycle, promoting domestic and international dual circulation, and reshaping the global industrial chain.

In light of the “14th Five-Year Plan”, it is essential to firmly lay out a systematic semiconductor equipment technology breakthrough, systematically organize the collaborative advancement of key products in the supply chain through upstream and downstream, and construct a complete semiconductor equipment supply chain system. Aiming at advanced process nodes, further enhance the technological level of the supply chain, form rapid iteration capabilities, and support the healthy development of China’s semiconductor industry in a self-controllable manner. Leveraging China’s advantages in a super-large-scale market, build a semiconductor equipment supply chain ecosystem that supports China’s unique advantages. National relevant science and technology plans should be deployed in advance, laid out in stages, focused on key areas, and systematically tackled to pave the way for process research and development, industrial layout, and capacity construction. Continue to adhere to the efficient development model of “technology leading, industry following, and financial support”, establishing an effective mechanism for close interaction, efficient collaboration, and mutual promotion between technology plans and related industrial investment plans. In this process, resolve the industry’s “internal competition”, always prioritize innovation, effectively leverage the guiding role of fiscal funds, avoid using limited funds for low-level technological quantity expansion; guide local governments to carry out differentiated layouts in the semiconductor industry; guide upstream and downstream of the industrial chain to comply with business rules, and establish good benefit-sharing mechanisms among industry partners; improve and perfect a merger and acquisition mechanism that aligns with the characteristics of the semiconductor industry.

Author Profile

Ye Tianchun is a researcher at the Institute of Microelectronics, Chinese Academy of Sciences, and one of the leading academic figures in the field of integrated circuit processes and devices in China. His main research areas include core technologies such as integrated circuit leading processes and devices, radiation-resistant circuits, and nano-processing.

Source

Ye Tianchun, Zhu Yu, Zhang Guoming, et al. Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan. Bulletin of the Chinese Academy of Sciences, 2025, 40(5): 844-851.

DOI: 10.3724/j.issn.1000-3045.20250429001.

All articles published in this journal, unless otherwise stated, are the responsibility of the authors and do not represent the views of this journal.

Previous Issues

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

2025, Issue 3

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

2025, Issue 4

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

2025, Issue 5

Challenges and Opportunities for Semiconductor Equipment in the 14th Five-Year PlanChallenges and Opportunities for Semiconductor Equipment in the 14th Five-Year Plan

Click below to read the original text and obtain the PDF full text

Leave a Comment